您当前所在的位置: 完美体育 > 新闻中心 > 公司新闻

新闻中心

News

分类>>

365wm完美体育课程实施大纲doc

2024-01-10 13:56:31
浏览次数:
返回列表

  365wm完美体育课程实施大纲docPAGE PAGE 1 《EDA技术》课程实施大纲 一、教学理念(teaching philosophy) 作为一名专职教师,我希望在教学活动中能够最大限度地激发学生的兴趣,在实践中使学生的能力得到有效提升。“站上讲台的教师,是合格教师;站稳讲台的教师完美体育官方app下载,是骨干教师;站好讲台的教师,是专家型教师”。在站上讲台后,虽然我不能保证自己能够成为骨干教师,但是我会尽全力站稳讲台,并力争站好讲台。我认为教师的真正本领,不在于他是否会讲述知识,而在于是否能激发学生的学习动机,唤起学生的求知,让他们兴趣盎然地参与到教学过程中来。 《EDA技术》是电子应用类选修模块的一门重要的技术基础课程,也是应用电子技术、自动控制、计算机应用及通信工程等专业的技术基础课程。课程设置的目的是通过本课程的学习,掌握可编程逻辑器件的基本知识、基本结构和工作原理,可编程逻辑器件的设计过程,基本EDA工具软件的使用方法以及初步学会运用VHDL进行数字电子系统描述的方法,为今后运用尽可能先进的手段从事有关数字电子系统方面的设计和研究开发打下基础。 课程教学的重点在于器件的结构与工作原理、EDA工具软件的使用方法以及运用VHDL进行数字电子系统描述的方法。 课程主要以理论加实践的形式开展,在教学中,我将让学生在认识到实践重要性的同时,真正提高动手能力。 一个教师超越其他教师不是最重要的,最重要的是不断地超越过去的自己。我会以朴素的感情,调整自己的心态;以奉献的精神从事崇高的事业,以高超的技艺,展示个人的才华;以不断的追求,提升自身的价值。 二、课程基本要求 了解VHDL语言发展概况以及这门学科概貌。掌握VHDL语言的基本概念、基本特点和使用VHDL语言设计逻辑电路的基本方法。理解用VHDL语言设计复杂电路的步骤和过程。理解QuartusⅡ基本概念、基本分析方法和应用技能。能够根据系统的行为和功能要求,完成相应的描述、综合、优化、仿真与验证等试验过程。 三、课程内容 (一)VHDL语言程序的基本结构 了解VHDL语言设计的基本单元及其构成;掌握VHDL语言构造体的子结构描述;了解包集合、库及配置 重点:VHDL语言构造体的子结构描述。 难点:包集合、库及配置。 教学建议:该章应把VHDL语言的程序结构讲透以便后面的展开。 (二)VHDL语言的数据类型及运算操作符 了解VHDL语言的客体及其分类,掌握VHDL语言的数据类型,掌握VHDL语言的运算操作符 重点:VHDL语言的数据类型及运算操作符。 难点:VHDL语言的客体及其分类。 教学建议:讲解时应特别强调数据类型的严格性、运算操作符的可综合性问题。 (三)VHDL语言构造体的描述方式 掌握构造体的行为描述方式,了解构造体的寄存器传输(RTL)描述方式,掌握构造体的结构描述方式 重点:构造体的行为描述方式。 难点:构造体的寄存器传输(RTL)描述方式。 教学建议:构造体的行为描述方式和寄存器传输(RTL)描述方式各有其特点,应讲明两种描述方式的适用范围。 (四)VHDL语言的主要描述语句语句 掌握顺序描述语句,掌握并发描述语句,了解语句,了解有关规定的说明 重点:顺序及并发描述语句。 难点:并发描述语句 教学建议:应当把编程时如何正确使用顺序及并发描述语句作为本章教学目标,并发描述语句对于初学者来说比较难以理解,应当引导学生从硬件的角度而非计算机程序的角度去理解这类语句。 四、本课程与课程的关系 先修课程:数字电路 后续课程:SOPC 五、教学时数分配 序号 教学内容 总学时 讲课 实验上机 习题课讨论课 课程设计(大作业) 1 VHDL语言程序的基本结构 4 4 2 VHDL语言的数据类型及运算操作符 4 4 3 VHDL语言构造体的描述方式 6 6 4 VHDL语言的主要描述语句语句 10 6 4 5 基本逻辑电路设计 20 8 10 2 6 QuartusⅡ使用 4 2 2 小计 48 30 16 2 六、选用教材及主要参考书 教 材:佩德罗尼. 《VHDL数字电路设计教程》,电子工业出版社,2005年9月 参考书:1. 徐志军,王金明,尹延辉 《EDA技术与VHDL设计》,电子工业出版社,2009年 2. 黄正瑾,徐坚. 《CPLD系统设计技术入门与应用》,电子工业出版社,2002年 3. 侯伯亨,顾新. 《VHDL硬件描述语言与数字逻辑电路设计》,西安电子科大出版,2004年 七、本课程考核要求 书面考试、百分制计分。 附实践教学要求: 一、实验学时 实验总学时: 16 实验个数: 8 必开实验个数: 8 选开实验个数: 0 二、实验的地位、作用和目的 通过实验教学培养学生的利用VHDL语言设计数字系统的能力,促进理论与实践的结合。 三、实验基本要求 1. 由指导教师讲清实验的基本要求,实验中软件及硬件的应用方法; 2. 根据实验装置的数量,实验以2人为1组,最多不超过4人1组,由学生独立操作,完成实验; 3. 实验结果由指导教师验收合格后,学生方可离开实验室。 四、实验考核方式与要求 1. 学生进行实验以前 , 指导教师点名并检查预习报告 ; 2. 实验后由指导教师验收 , 达到实验要求后 , 学生方可离开实验室 ; 3. 指导教师对每份实验报告进行批改、评分; 4. 实验成绩以一定比例计入课程总评成绩。 五、实验仪器设备配置 硬件:计算机,EDA实验装置 软件:Quartus II 9.2 六、实验指导书或实验教材 指导书:自编 七、实验项目内容与要求 序号 实验项目名称 内容与要求 实验学时 实验类型 实验类别 实验要求 1 基本组合电路设计 在QuartusⅡ开发环境中输入简单组合电路的VHDL文本,进行编译、仿真并调试成功,然后下载到实验装置上观察实际效果,初步掌握编译仿真方法,以此了解VHDL语言与硬件的关系,与其他计算机语言的不同之处。 2 验证 专业基础 必开 2 三—八译码器设计 进一步熟悉和掌握QuartusⅡ开发环境的应用,初步掌握使用VHDL语言设计数字逻辑系统的方法;掌握系统的设计编译与仿线 验证 专业基础 必开 3 7段数码显示译码器设计 设计7段数码显示译码器并下载至实验装置验证 2 验证 专业基础 必开 4 基于LPM_ROM的九九乘法器 (1)lpm_ROM的参数设置; (2)lpm_ROM中数据的写入,即LPM_FILE初始化文件的编写; (3)lpm_ROM的实际应用,在GW48_CPP实验台上的调试方法。 2 验证 专业基础 必开 5 RAM接口实验 实现对外部RAM的读写 2 验证 专业基础 必开 6 矩阵键盘控制接口 设计并实现4×8键盘接口 2 综合 专业基础 必开 7 数控分频器 掌握VHDL语言描述硬件的方法,掌握VHDL语言设计的基本格式。理解数控分频器的工作原理并在实验装置上实现数控分频器。 2 综合 专业基础 必开 8 数字时钟 设计基于CPLD的数字数字并验证 2 设计 专业基础 必开 执笔教师: 审核教师:魏淑桃 教学院长:刘中原

  2、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。

  3、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。

  4、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档

  2023年海南医学院信息管理与信息系统专业《数据结构与算法》科目期末试卷B(有答案).docx

  原创力文档创建于2008年,本站为文档C2C交易模式,即用户上传的文档直接分享给其他用户(可下载、阅读),本站只是中间服务平台,本站所有文档下载所得的收益归上传人所有。原创力文档是网络服务平台方,若您的权利被侵害,请发链接和相关诉求至 电线) ,上传者

href=""

搜索